R. M. Stallman, Free hardware and free hardware designs Available: https

M. Ayass, CERN Open Hardware Licence Available: https, 2017.

R. Stallman, The gnu manifesto, 1985.

C. Anderson and E. , Makers: The New Industrial Revolution, Crown Business, 2014.

S. Verhaegen, The open source EDA tool chain for the Chips4Makers project. Is an ASIC made with fully open source tool chain possible ? Is it affordable ? Available: https: //fosdem.org, 2018.

J. Bonvoisin, Avail- able: https://opensourcedesign.cc/wiki/index.php/OPEN! Methods and tools for community-based product development [7] University of California, 2016.

. Arduino, Available: https://www.arduino

A. Greiner and F. Pêcheux, ALLIANCE, 3rd Workshop on VLSI design training, pp.230-237, 1992.
DOI : 10.14219/jada.archive.1997.0272

URL : https://hal.archives-ouvertes.fr/hal-01571009

. "-alliance, V. Free, M. Pierre, L. Curie-cnrs, and . Katz, Available: https://www-soc.lip6.fr/equipe-cian/ logiciels/alliance/ [11] OpenCores project Available: https://opencores.org/ [12] Open Hardware Repository Available: https://www.ohwr.org, Open Hardware License OHL v2.0, " CERN. [Online]. Available

A. Barriga, Visiting open source harware: A survey of opportunities Available: https://csce.ucmss.com/cr/books, The 15th International Conference on Scientific Computing (CSC'17), 2017. [Online], 2017.

J. Bonvoisin, What is the ???Source??? of Open Source Hardware?, Journal of Open Hardware, vol.15, issue.2, 2017.
DOI : 10.1080/13662710802033734

URL : https://hal.archives-ouvertes.fr/hal-01582660

, Software Heritage project Available: https://www. softwareheritage

J. Akita, Open Source LSI design & Fabrication Project for Distributed IP Development, International Conference on Analog VLSI Circuits (AVIC), 2016.

K. Center, R. Science, and . Park, Kitakyushu Foundation for the Advancement of Industry, Science and Technology (FAIS)

K. Asada and . Wgex,

. Peardrop-design and . Systems,

C. Drake, Python library for electronic design automation

T. Hosokawa and N. Shimizu, The Layout Design Method for Rohm 0.18um Process Using Open Source EDA Tool-set and lambda rule based cell library Corresponding to Deep Sub-micron Process, Proceedings of the School of Information and Telecommunication Engineering Tokai University (Japanese), pp.23-30, 2013.

T. F. Shimizu, Development of VLSI design Flow with FOSS EDA and NDA Free Design Rules, Proceedings of Asia Symposium on Engineering and Information(ASEAI), pp.39-51, 2017.

N. Shimizu, Development of NDA free VLSI design Flow for 0.6µm Commercial Fabrication, 12th International Conference on Innovative Computing Information and Control (ICICIC2017), 2017.

, Available: http://ngspice.sourceforge.net [26] " The SPICE page Available: https://bwrcs.eecs.berkeley

. Berkeley, Available: https://embedded.eecs.berkeley

J. Porte, Oceane: Software tool for analog design and education Available: https://www-soc.lip6.fr/en/team-cian/softwares/oceane/ [29] A. Malcher and P. Falkowski, Analog Reconfigurable Circuits International Journal of Electronics and Telecommunications, vol.60, issue.1, pp.15-26, 2014.

S. George, S. Kim, S. Shah, J. Hasler, M. Collins et al., A Programmable and Configurable Mixed-Mode FPAA SoC, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2253-2261, 2016.
DOI : 10.1109/TVLSI.2015.2504119

S. Sunter and P. Sarson, A/MS benchmark circuits for comparing fault simulation, DFT, and test generation methods, 2017 IEEE International Test Conference (ITC), 2017.
DOI : 10.1109/TEST.2017.8242079

F. Brglez, P. Pownall, and R. Hum, Benchmarks for analog test Mentor Graphics Available: https://www.mentor.com/products/silicon-yield/products/defectsim Accelerated ATPG and fault grading via testability analysis, Proc. IEEE Int. Symposium on Circuits and Systems, pp.695-698, 1985.

F. Brglez, D. Bryan, and K. Kozminski, Combinational profiles of sequential benchmark circuits, IEEE International Symposium on Circuits and Systems, pp.1929-1934, 1989.
DOI : 10.1109/ISCAS.1989.100747

J. Oetjens, Safety Evaluation of Automotive Electronics Using Virtual Prototypes, Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, DAC '14, 2014.
DOI : 10.1145/2593069.2602976

S. Scotti, Beyond Dreams: Design Refinement of Embedded Analogue and Mixed-Signal Systems, 2008.

. Available,

A. Systems and I. , SystemC, 2017.

M. Barnasconi, Version 1.0, Accellera systems initiative Available: http://accellera.org/images/downloads/standards/ systemc/OSCI SystemC AMS extensions 1v0 Standard.zip [39] " SystemC Open Source Licence v3.3, SystemC AMS extensions Users Guide, 2010.

M. Barnasconi, Accellera systems initiative, SystemC AMS Extensions 2.0 Language Reference Manual, 2016.

A. Working, Available: http://accellera.org/community/systemc/about-systemc-ams [42] the APACHE software foundation Apache licence Available: https, SystemC AMS Extensions, 2016.

K. Einwich, Available: https, SystemC AMS PoC2.1 Library, 2016.

C. Ptolemaeus, System Design, Modeling, and Simulation using Ptolemy II, Ptolemy.org, 2014.

L. Andrade, Pre-Simulation Formal Analysis of Synchronization Issues between Discrete Event and Timed Data Flow Models of Computation, Design Automation and Test in Europe (DATE), pp.1671-1677, 2015.

L. and A. Porras, Principles and implementation of a generic synchronization interface between SystemC AMS models of computation for the virtual prototyping of multi-disciplinary systems, 2016.
URL : https://hal.archives-ouvertes.fr/tel-01344527

C. and B. Aoun, Principles and Realization of a Virtual Prototyping Environment for Composable Heterogeneous Systems, 2017.

P. , M. Curie-cnrs, and L. , Coriolis, Digital Place & Route Available: https

G. Online, ]. Available, E. Lao, M. Louërat, and J. Chaput, Semi-automated analog placement based on margin tolerances, The 20th Workshop on Synthesis And System Integration of Mixed Information Technologies, 2016.

M. Koefferlein and . Klayout, Available: http: //www, 2018.